封装的演进芯片技术将步入Chiplets时代

  浏览量2023-12-07 作者: 安博体育注册手机客户端

  封装对于集成电路来讲是最主要的工具,先进的封装办法能够显著地帮助提高IC性能。了不起的是,这些技术中有许多已经足够成熟,而且已经存在足够长的时间,现在甚至连初创公司和大学都能够正常的使用它们。

  虽然这些技术中已经被代工厂所采纳,但最新最有前途的一项技术——chiplets,还不成熟。英特尔的RamuneNagisetty表示,对于提高技术水平,目前最缺的是在先进封装中混合和匹配硅元件创造更标准化的接口。这样做的目的能够更好的降低在这个生态系统中发挥作用的障碍。

  英特尔技术开发部流程和产品集成总监Nagisetty是英特尔的封装专家;英特尔作为美国先进半导体工艺技术的最后堡垒之一,他们都以为先进的封装技术将作为未来发展的关键技术之一。Nagisetty表示,英特尔对其每一个封装载体都有一个技术路线图,就像它一直对工艺技术有路线图一样。

  封装一直是半导体行业没有多大吸引人的领域,但大约15年前,它开始走向舞台,封装技术可能成为一个性能瓶颈,但只要稍加创新,不但可以避免这个瓶颈,而且新的封装办法能够提高IC性能。

  英特尔这样做已经有一段时间了。据Nagisetty介绍,为了提供异构芯片的高密度互连,英特尔在2008年提出了嵌入式多芯片互连桥接技术(EMIB)技术。

  EMIB是2.5D技术的一个变种。2.5D封装的常用方法是使用硅中介层,它是夹在两片芯片之间的一层带孔的硅。英特尔认为中介层有些太大,所以它的EMIB使用了一个有多个路由层的桥接器。

  Nagisetty:“新技术在开始使用之前需要一个临界点,”转折点是基于神经网络的人工智能架构。“这是很重要的一点——它显示了神经网络的可行性,并且在封装内产生了加速器和高带宽内存——这为将内存嵌入封装内奠定了根基。”

  英特尔于2014年首度发表EMIB,表示该技术是2.5D封装的低成本替代方案

  如今在传统半导体工艺微缩技术慢慢的变复杂且昂贵的此刻,像EMIB这样能实现高性能芯片组的低成本、高密度封装技术日益重要。台积电(TSMC)所开发的整合型扇出技术(InFO)也是其中一种方案,已被应用于苹果(Apple) iPhone的A系列处理器。

  英特尔一直将EMIB幕后技术列为“秘方”,包括所采用的设备和在芯片之间打造简化桥接的方法;不过该公司打算将AIB变成一种任何封装技术都能使用、连接“小芯片”的标准接口,以催生一个能支持自家产品的零件生态系统。

  从历史上看,半导体行业的总体发展将慢慢的变多的功能集成到芯片上,但对于一些先进集成电路设计来说,这或许是不可能的。

  首先,一个公司不可能把一些应用程序所需的所有电路放在一个巨大的模具上,从生产的角度来看,模具的尺寸是有限的。

  Nagisetty指出:“推动这一趋势的第二点是,重复使用的设计成本一直上升,以及特定技术节点对IP可移植性的需求。”无论是针对移动电子设备还是高性能,逻辑技术正慢慢的变专业化,在先进集成电路设计中,几乎不需要在相同的技术节点上实现SerDes。更重要的是,有可能将某一项技术(例如SerDes)定制为一个技术节点。

  Nagisetty引用了IntelStratixFPGA的例子:有一个StratixFPGA菜单,在六个不同的技术节点上执行,可从三个不同的工厂获得。“我认为Stratix是第一个达到每秒58千兆字节的产品。”“它使我们更具竞争力,并率先以高速SerDes进入市场。”

  使用高级封装的第三个原因是获得敏捷性和灵活性。“对于不同技术,chiplets在混合和匹配的价值正慢慢的变明显。”

  通过KabyLake G,我们将第三方IP整合到我们的封装中,我们也可以为高性能手机游戏创造一个更小的形式。这里的第三方IP指的就是AMD的Radeon加速器。

  Intel和AMD虽说是死对头,但是去年双方竟然意外合体,合作推出了KabyLake-G系列处理器,KabyLake G使用英特尔的EMIB 2.5D方法,而Lakefield则依靠die堆叠- 3D堆叠。英特尔称其3D堆叠系统为Foveros。它使用Intel的CPU搭配AMD的Radeon显卡及HBM2显存,图形性能非常亮眼。不过KabyLake-G的市场化不算成功,现在Intel决定停产这款A/I合作的处理器了。根据Intel发布的通知,KabyLake-G系列从10月7日开始退役,2020年1月31日为最后的订单日,2020年7月31日为最后的出货日,之后就完全停产了。

  Lakefield是证明了先进封装能带来最小X-Y引脚。”用户都能够从性能或外形上看到好处。

  英特尔开发了一组丰富的封装技术,而且为了使事情更有简单,它们能混合匹配。例如,英特尔推出的“Co-EMIB”,这是EMIB和Foveros的结合。

  2019年,英特尔推出了两种更先进的封装变体,全向互联(ODI),从架构的角度来看,它是EMIB和Foveros的下一个演进步骤,英特尔能够将多个芯片堆叠在玻璃纤维基板的上方,相互之间的上方;以及基板的压痕和空腔内。ODI由类似EMIB的硅片组成,可以在两个硅片之间实现高密度布线(如GPU和内存堆栈,或SoC和核心逻辑);以及作为硅片凸点延伸到基板的铜极。它会带来若干好处,包括通过穿硅通孔(tsv)向堆叠中的顶部管芯输送功率。

  英特尔、台积电和其他公司正在研究一种被称为铜-铜混合键合的方法,这是堆叠技术的另一种变体,这可能会带来3D IC的创新,并可以将更多的DRAM芯片连接起来,这种组合被称为DRAM cubes。

  我们是否有明确的路径来一直在改进这些封装技术,就像连续的生产的全部过程节点总是被绘制出来的那样?

  Nagisetty:“我们的每一个封装载体都有一个技术路线图,”“所以,我们有一个中介层,它能够更好的降低沟道高度。Foveros将达到25微米。混合键合将从10微米开始,并逐渐降低。”

  KabyLake G的例子激发了芯片设计者们的梦想,即混合和匹配来自不同供应商的功能,而不单单是一个供应商。这是chiplets的关键概念。

  从商业角度来看,chiplets方法很有意义。芯片上高度集成的SoC成本可能非常高。此外,这种高度集成的半导体系统的复杂性使制造更具挑战性;较高的复杂性与产量损失有直接关系。

  美国国防高级研究计划局(DARPA)正在支持一项计划,以推动chiplets市场。DARPA对这项技术的看法是:

  由于初始原型成本高和对替代材料集的要求等因素,最先进的SoC的整体特性并不总是为国防部(DoD)或其他小体积应用所接受。为增强下一代产品的整体系统灵活性和减少设计时间,微电子综合常用功能整合及微电子知识产权产品重新优化利用计划(简称CHIPS)寻求在IP重用中建立一个新的范例。

  CHIPS 项目的主要目标,是开发出全新的技术框架,将如今电子科技类产品中插满芯片的电路板压缩成为尺寸小得多的集成“微芯片零件组”。这种框架会将受知识产权保护的微电子模块与其功能整合成“微芯片零件”。这些微芯片零件可以在一定程度上完成数据存储、信号处理和数据处理等功能,并可以随意相连,如拼图一样拼成“微芯片零件组”。

  CHIPS项目有望催生更多新技术产品,如更小的集成电路板替代品,要求高速数据转换和强大处理性能紧密结合的高带宽射频系统,通过整合各种处理以及加速功能的 “微芯片零件”,还能够获得能够从大量杂乱数据中过滤出可用数据指令的快速机器学习系统。

  与更复杂的SoC相比,使用chiplets可以明显降低成本。这张图是AMD的LisaSu在2017年IEDM会议上发表的一篇论文中展示的,并被开放计算项目复制。

  美国芯片法案确实专门为高级封装研究进行了资助,但它没有特别提到DARPA的芯片计划。

  Nagisetty介绍,英特尔当然参与了DARPA的芯片计划。“StratixFPGA是这方面的核心。”

  CHIPS成功的关键总体来说是chiplets技术,它将创造更标准化的接口,这样其他公司的芯片就可以连接起来。

  开发通用接口是一项艰巨的任务,因为要考虑许多因素,并且并非所有应用程序都必须以相同的方式权衡利弊。接口技术中要考虑的一些因素是成本,面积,每位能量,带宽,等待时间,距离,可伸缩性以及在不同过程节点中实现的能力。幸运的是,在DARPA的支持下,英特尔已经使其高性能的高级接口总线(AIB)用于通过git-hub的开源框架公开免费地连接小芯片。该接口可提供任何竞争解决方案中最高的带宽和最低的每位功率,并实现接近单片的互连性能。英特尔多年来一直在生产带有该接口的产品,目前在Stratix 10FPGA系列上提供该产品,以将chiplets连接到FPGA架构。随着英特尔在业界的影响力以及正在采用的新兴财团,AIB有望成为chiplets互连标准。

  Nagisetty:“我相信它将发展成为一个生态系统,创新将被开启,它类似于开发电路板的方式——那里有像PCI Express这样的东西——允许公司基于一个接口标准来构建产品。”

  早期,有很多的复杂性,以及商业模式要解决,”她继续说道,“但是我喜欢,人类能参与这个生态系统。以前,屏障非常高。但现在,有一些初创企业和大学参与进来。”

  但Nagisetty表示,如果一个组织能够对于chiplets技术的标准创建进行指导,那将会大有裨益。开放计算项目(OCP)是一个尝试填补这一空白的组织,其中他们提议的ODSA项目旨在在小芯片之间创建一个开放的接口,以便可以将多个供应商的同类最佳小芯片组合在一起以创建定制产品。

  OCP第一要注意到,数据中心将不得已处理持续不断的增加的新工作负载。目前,针对任何给定的新工作负载优化硅系统的最佳解决方案是创建SoC。但是,这样做是很昂贵的。为新兴工作负载降低硅系统成本的一种方法是使用chiplets技术——这就是OCP参与chiplets的方式。

  根据OCP的说法,不同的公司在开发chiplets技术时,至少在某些特定的程度上依赖于内部开发的设计工具,而且所有chiplets接口都是专有的。“ODSA试图通过一个开放的生态系统市场,将chiplet和SIP技术的发展大众化,从而面向更大的大众市场。”

  上一篇:长电科技子公司 STATS CHIPPAC 荣获任仕达“最向往雇主”奖项

  大约十年前,英特尔宣布了著名的“嘀嗒”(Tick-Tock)战略模式。“嘀嗒”意为钟摆的一个周期,“嘀”代表芯片工艺提升、晶体管变小,而“嗒”代表工艺不变,芯片核心架构的升级。一个“嘀嗒”代表完整的芯片发展周期,耗时两年。 但是英特尔最近在公司文档中废止了“嘀嗒”的芯片发展周期,第三代Skylake架构处理器“Kaby Lake”CPU将在今年第三季度发布,彻底打破了“制程-架构”的钟摆节奏。从下一代10纳米制程CPU开始,英特尔会采用“制程-架构-优化”(PAO)的三步走战略。 由于受到CPU线程不断缩小的问题,英特尔从22纳米到14纳米都采用两步走,即所谓的“嘀嗒”战略。在“嗒”这一步

  在2023腾讯全球数字生态大会上,英特尔全方位展示了与腾讯在云计算、人工智能、智能边缘、数据库等领域的持续创新、深入探索,及多样化应用落地实践。 同时,英特尔亦荣膺“腾讯教育最佳技术上的支持伙伴奖”,旨在从产学研多维度加速技术创新,助力产业智能化发展。 作为数字产业向前发展的重要参与者,英特尔将基于多年来在行业中的探索和积累,持续创新,深入行业合作,不断输出多元化、可信赖的产品与解决方案,助力腾讯在云计算与AI的全方位、深层次创新。 -- 王稚聪 英特尔市场营销集团副总裁 兼中国区总经理 凭借包括无所不在的计算、无处不在的连接、从云到边缘的基础设施、人工智能以及传感和感知在内的五大‘超级技术力量’,英特尔将携手腾讯以产

  与腾讯深化从云到端合作,以技术创新助力产业数智化发展 /

  在2019年超级计算大会上,英特尔发布了一项全新软件行业计划oneAPI,助力充分释放高性能计算与人工智能技术融合时代多架构计算的潜力,同时发布了一个oneAPI beta产品。 英特尔oneAPI行业计划,为跨多种包括CPU、GPU、FPGA和其他加速器在内的异构计算,提供了一个统一和简化的应用程序开发编程模型。oneAPI的发布源自英特尔数百万小时软件工程开发的努力,并且标志着一个行业内的变革,从今天受限、封闭的编程方法演变到一个开放的、基于标准的模式,助力研发人员实现跨架构的参与和创新。 英特尔高级副总裁、首席架构师以及架构、图形与软件部门总经理Raja Koduri 表示:“高性能计算和人工智能工作负载需要包

  oneAPI,一个针对异构计算以开发者为中心的平台 /

  2023年7月11日,北京 —— 今日, 英特尔AI产品战略暨Gaudi2新品发布会在京举行。会上,英特尔正式于中国市场推出第二代Gaudi深度学习加速器——Habana® Gaudi®2 。作为英特尔从云到端产品组合的重要组成,Gaudi2致力于以领先的性价比优势,加速AI训练及推理,为中国用户更好的提供更高的深度学习性能和效率,从而成为大规模部署AI的更优解。 英特尔于中国市场推出Gaudi2深度学习加速器 英特尔执行副总裁兼数据中心和AI事业部总经理Sandra Rivera指出,“英特尔致力于通过为客户提供广泛的硬件选择,并支持开放的软件环境,加速人工智能技术的发展。凭借包括至强可扩展处理器和Gaudi2深度学习

  全新Gaudi2处理器面世中国市场,加速大规模深度学习训练与推理 /

  传播奥林匹克精神推动教育资源共享,英特尔携手希沃、天立教育集团开展“开学第一课”活动 近日,英特尔携手合作伙伴希沃和天立集团,在其他友好合作学校的支持下以线上、线下相结合的形式成功举办“开学第一课”主题活动。本次活动旨在弘扬奥运精神,开展奥运知识教育,促进城乡优质教育资源共享,为我国教育公平及教育信息化发展贡献力量。514名学生参与到此次活动当中,曾多次夺冠的跆拳道运动员吴静钰在现场与学生们进行了面对面交流。在中国赛艇协会和中国皮划艇协会的全力支持下,东京奥运会女子500米双人划艇金牌得主孙梦雅也为孩子们送上了新学期寄语。在线间教室接入互动直播课堂进行了在线观看。 英特尔制造与运营事业部副总裁、英特尔产

  携手希沃、天立教育集团开展“开学第一课”活动 /

  据外媒报道,近日美国英特尔公司正式对外宣布,他们将把旗下所有有关 人工智能 的业务整合到一起,成立一个名为 人工智能 产品事业部(AIPG)的新部门。 人工智能 产品事业部将由Nervana Systems前首席执行官Naveen Rao担任总经理,并向直接向公司CEO科再奇进行汇报。下面就随嵌入式小编共同来了解一下相关联的内容吧。 谷歌人工智能最大对手 英特尔成立AI事业部 目前,英特尔旗下的人工智能业务包括Xeon、Xeon Phi、Nervana和Altera等四个部分。这些部门很多都是最近刚刚被英特尔收购的,英特尔整合他们,是希望可以形成合力来进一步的发展人工智能。他们还将按其他事业部的需求分配各项资源,制定一

  恒忆(Numonyx)宣布,该公司已于7月12日与上海外高桥保税区签署厂房租赁合同,正式投资落户上海。今天上午,恒忆总裁兼首席执行官Brian Harrison专程赴沪考察上海研发中心新址,并拜会了外高桥保税区管委会主任助理、功能区域党工委副书记、管委会副主任简大年等领导。双方代表齐聚一堂,共同见证了这一重要历史时刻。 恒忆正式成立于2008年3月,是由英特尔(Intel)和意法半导体(STMicroelectronics)以各自的闪存部门组成的合资企业,拥有技术先进的完整产品线、专用的制造设施和市场领先的下一代相变存储器开发制造能力。作为全世界存储产业巨子,恒忆的产品线涵盖了包括NOR、NAND、RAM和PCM在内的

  继七喜之后,英特尔的中国同盟阵营再次传出倒戈的声音。上周,AMD公司正式对外宣布与TCL电脑正式缔结战略合作伙伴关系,这标志着国内前七大品牌电脑厂商均已进入AMD的合作圈。 至此,单一“效忠”英特尔平台的国内大型电脑厂商已仅剩海尔和长城,英特尔在国内市场的同盟阵营基本宣告瓦解。 AMD步步逼近 据介绍,结盟后的AMD和TCL电脑将在台式机和笔记本领域展开合作,TCL电脑将采用AMD的64位处理器,相关这类的产品也将即时上市。在TCL之前,联想、方正、同方、神舟等主流品牌电脑厂商均已经先后与AMD展开合作。虽然与另外的品牌厂商一样,在TCL的产品线中,与英特尔合作的产品仍占据主要份额,但考虑到3年之前,AMD在国内电脑市场尚无任何一个合作

  直播回放: 英特尔 FPGA 可编程加速平台介绍,走近 AI、数据中心、基因工程等大咖工程

  ADI世健工业嘉年华—有奖直播:ADI赋能工业4.0—助力PLC/DCS技术创新

  自从去年5月,博通(Broadcom)公布收购VMware(威睿)的计划后,由于涉及金额巨大,各国审批便是这桩收购案最大不确定性。2023年3月,VMwa ...

  工业化架构芯片最佳PPA并非绝对,RISC-V厂商如何通过定制计算取得优势?

  ICCAD 2023期间,Codasip(科达希普)分享了其在定制计算上的战略和最新产品。...

  芯易荟(ChipEasy)作为一家提供全球领先的DSA处理器设计工具的新一代EDA公司,亮相ICCAD 2023,并分享了自己对于EDA和DSA的理解。...

  11月28日,2023龙芯产品发布暨用户大会在京召开,现场发布新一代通用处理器龙芯3A6000、打印机主控芯片龙芯2P0500成果,并对外公布龙芯处理 ...

  11 月 28 日消息,英国全球投资峰会昨日在伦敦举行,全球超过 200 家重量级企业 CEO 出席,包括高盛、摩根大通等。英国首相府提到, ...

  功率半导体市场放缓,报告称中国大陆企业转向 12 英寸晶圆和 IGBT 晶体管

  S3c2440ARM异常与中断体系详解3---Thumb指令集程序示例

  贸泽备货u-blox JODY-W3基于主机的汽车模块 提升多通道高数据速率通信能力

  【投票瓜分2500元红包】2022得捷电子创新设计大赛优秀作品人气奖由你来定!

  《CoolSiC英飞凌最佳的伺服驱动解决方案》白皮书下载

  站点相关:市场动态半导体生产材料技术封装测试工艺设备光伏产业平板显示EDA与IP电子制造视频教程

上一篇: 通讯 _电子科技类产品世界

下一篇:【48812】DNF国服最新史诗跨界与配备封装体系一览